library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity lab3 is port ( clk : in std_logic; -- the system clock reset : in std_logic; -- reset i_valid : in std_logic; -- input data is valid i_data : in unsigned(7 downto 0); -- input data o_done : out std_logic; -- done processing o_data : out unsigned(7 downto 0) -- output data ); end entity lab3; architecture main of lab3 is begin end architecture main; -- Q1: number of flip flops and lookup tables? -- -- Q2: maximum clock frequency? -- -- Q3: source and destination signals of critical path? -- -- Q4: does your implementation function correctly? If not, -- explain the bug and how you would fix it if you had more time. --